[teiid-designer-dev] [Teiid-Designer/teiid-designer] 5b5d1e: TEIIDDES-3081

blafond blafond at redhat.com
Wed Jun 14 14:37:55 EDT 2017


  Branch: refs/heads/11.0.x
  Home:   https://github.com/Teiid-Designer/teiid-designer
  Commit: 5b5d1e350b760b982c8ec6c92ee6e01dfc6b31f8
      https://github.com/Teiid-Designer/teiid-designer/commit/5b5d1e350b760b982c8ec6c92ee6e01dfc6b31f8
  Author: blafond <blafond at redhat.com>
  Date:   2017-06-14 (Wed, 14 Jun 2017)

  Changed paths:
    M plugins/org.teiid.designer.relational.ui/src/org/teiid/designer/relational/ui/flatfile/FlatFileRelationalModelContributor.java
    M plugins/org.teiid.designer.transformation.ui/src/org/teiid/designer/transformation/ui/wizards/file/FlatFileRelationalModelFactory.java

  Log Message:
  -----------
  TEIIDDES-3081

 * Added generated result set columns in flat file import processor and
flat file new model contributor:  lastModified, created and size




More information about the teiid-designer-dev mailing list