Hi,

There are some rule attributes like: salience, agenda-group or activation-group etc.
Read more about them on : http://labs.jboss.com/jbossrules/docs/index.html

I think that you probably need salience attribute (integer value - default is 0)  in order to controll your rules.

rule "ruleName"
salience 10
when conditions
then actions
end

The rules with greater salience attribute value will be executed first.
Even without salience attribute, the order of rules is not randomize. It depends on the order of facts assertion on working memory ( this will cause some rules activation).

Kind regards, Oana

"Rajesh.Sachin10" <rajesh_sachin10@yahoo.co.in> wrote:

Hi,

If we wrote 6 [when] [then] rules in an drl file, there is any order in
firing the rules or the rules get fired in random?

If it is fired in random, there is any method or keywords to control the
order of firing the rules?
--
View this message in context: http://www.nabble.com/Order-of-firing-rules-tf3866064.html#a10952429
Sent from the drools - user mailing list archive at Nabble.com.

_______________________________________________
rules-users mailing list
rules-users@lists.jboss.org
https://lists.jboss.org/mailman/listinfo/rules-users


Choose the right car based on your needs. Check out Yahoo! Autos new Car Finder tool.