[teiid-designer-dev] [Teiid-Designer/teiid-designer] be38ca: TEIIDDES-2018 added post-processing of each column...

Barry LaFond blafond at redhat.com
Tue Jul 15 16:26:32 EDT 2014


  Branch: refs/heads/8.3.x
  Home:   https://github.com/Teiid-Designer/teiid-designer
  Commit: be38ca6576155525ee1b8ce70b8e74e5c9d9c698
      https://github.com/Teiid-Designer/teiid-designer/commit/be38ca6576155525ee1b8ce70b8e74e5c9d9c698
  Author: Barry LaFond <blafond at redhat.com>
  Date:   2014-07-15 (Tue, 15 Jul 2014)

  Changed paths:
    M plugins/org.teiid.designer.ddl.importer/src/org/teiid/designer/ddl/importer/node/TeiidDdlImporter.java
    M plugins/org.teiid.designer.relational/src/org/teiid/designer/relational/model/RelationalColumn.java

  Log Message:
  -----------
  TEIIDDES-2018 added post-processing of each column to fine-tune the datatype properties




More information about the teiid-designer-dev mailing list